Welcome![Sign In][Sign Up]
Location:
Search - 8251a verilog

Search list

[VHDL-FPGA-Verilog8251Verilog

Description: 通用串行异步收发器8251的Verilog HDL源代码,经过仿真验证。 -Universal Serial Asynchronous Receiver Transmitter 8251 the Verilog HDL source code, through simulation.
Platform: | Size: 15360 | Author: 钟兵 | Hits:

[VHDL-FPGA-VerilogIntel8251

Description: 用VHDL实现Intel 8251的UART功能-Intel 8251 with VHDL realization of the UART Function
Platform: | Size: 243712 | Author: | Hits:

CodeBus www.codebus.net